ecumebe


FORCE
express incontrôlé vs sculpteur de marionnette
le 19/08/2017 21:54
Bonsoir tout le monde :-)

Mon adversaire a Express incontrôlé. Il déclare une phase d'attaque pour annoncer sa fin de phase principale.

Je ne fais rien. Par la suite, pendant l'étape de déclaration des attaquants, il utilise une random 1/1 pour activer le pilotage du véhicule.

De mon côté je suis en possession d'un Sculpteur de marionnettes.
Est-ce que je peux, après que la capacité activée de pilotage soit résolue, activer la capacité de mon sorcier pour engager sa créa-artefact ? Ou bien la iple étant vide, je dois céder la priorité au joueur actif ? (auquel cas je suis ken ^^)

En vous remerciant :-)
haut de page - Les forums de MV >> Règles >> Discussion : page 1
Van
Au pays de Pagnol !, Santon-land

Légende
le 19/08/2017 22:26
activer le pilotage durant l'étape de déclaration des attaquants ne lui sera pas d'une grande utilité puisque la déclaration des attaquants étant la première chose effectué lors de cette étape il ne récupère la priorité pour piloté son véhicule que trop tard pour attaquer avec ledit véhicule ... s'il veut attaquer avec son véhicule, il faut qu'il l'anime au plus tard lors de l'étape de début de combat (première étape de la phase de combat et précède l'étape de déclaration des attaquants.

Pour pouvoir passer à l'étape/phase suivante il faut que tous les joueurs aient passer la priorité sans rien faire alors que la pile est vide. Mais tu n'est aucunement obliger de ne rien faire et tu peux tout à fait activer une capacité activée ou lancer un sort (éphémère ou avec le flash). On ne passera alors à l’étape/phase suivante que lorsque la pile sera de nouveau vide et que tous les joueurs auront passer la priorité sans rien faire.
ecumebe


FORCE
merci :-)))
le 19/08/2017 22:45
super je te remercie, mon adversaire a bien fait ses phases, mais de monj côté je peux en effet activer mon sorcier pour engager son véhicule créa-artefact.

Merci Van :-)
haut de page - Les forums de MV >> Règles >> Discussion : page 1
Vous devez être identifié pour pouvoir poster sur les forums.